Скачать ПНСТ 118-2016 Атомные станции. Контроль и управление, важные для безопасности. Использование программируемых интегральных схем для применения в системах, выполняющих функции категории А

Дата актуализации: 01.01.2021

ПНСТ 118-2016

Атомные станции. Контроль и управление, важные для безопасности. Использование программируемых интегральных схем для применения в системах, выполняющих функции категории А

Обозначение: ПНСТ 118-2016
Обозначение англ: 118-2016
Статус:истек срок действия
Название рус.:Атомные станции. Контроль и управление, важные для безопасности. Использование программируемых интегральных схем для применения в системах, выполняющих функции категории А
Название англ.:Nuclear power plants. Instrumentation and control important to safety. Development of HDL-programmed integrated circuits for systems performing category A functions
Дата добавления в базу:01.02.2017
Дата актуализации:01.01.2021
Дата введения:01.04.2017
Дата окончания срока действия:01.04.2018
Область применения:Стандарт устанавливает требования к разработке высоконадежных HPD, применяемых в системах I&C (в ОПБ-88/97 используются следующие термины: «управляющие системы нормальной эксплуатации», «управляющие системы безопасности» и «управляющие системы, важные для безопасности») АС, выполняющих функции безопасности категории А согласно классификации по МЭК 61226. Стандарт устанавливает требования к: a) специализированному жизненному циклу разработки, включающему в себя все этапы разработки HPD, такие как разработка спецификации требований, проектирование, реализацию, верификацию, интеграцию и валидацию; b) планированию и дополнительным мероприятиям, таким как модификация и производство; c) выбору предварительно разработанных элементов, включающих в себя микроэлектронные ресурсы (такие как заготовка программируемой пользователем вентильной матрицы или программируемой логической интегральной схемы) и операторы HDL, представляющие собой PDB; d) использованию принципов простоты и детерминистских принципов, признанных первостепенно важными для достижения «безотказной» реализации функций категории А; e) инструментам для проектирования, реализации и HPD. Стандарт не устанавливает требований к разработке микроэлектронных ресурсов, которые доступны как «серийные готовые» изделия и не разработаны по стандартам обеспечения качества на ядерных установках. Стандарт рассматривает разработки, выполненные с использованием данных микроэлектронных ресурсов в проекте по I&C с помощью HDL и сопутствующих инструментов.
Оглавление:1 Область применения
   1.1 Общие положения
   1.2 Применение настоящего стандарта
2 Нормативные ссылки
3 Термины и определения
4 Обозначения и сокращения
5 Общие требования к проектам HDL-программируемых устройств
   5.1 Общие положения
   5.2 Жизненный цикл
   5.3 Управление проектом HDL-программируемого устройства
   5.4 План обеспечения качества HDL-программируемого устройства
   5.5 Управление конфигурацией
6 Спецификация требований к HDL-программируемому устройству
   6.1 Общие положения
   6.2 Функциональные аспекты спецификации требований
   6.3 Детерминированное проектирование
   6.4 Обнаружение отказов и устойчивость к неисправностям
   6.5 Определение требований с помощью инструментов проектирования на уровне электронных систем
   6.6 Анализ и обзор требований
7 Процесс обоснования применения для программируемых интегральных схем, конфигурируемых блоков и предварительно разработанных блоков
   7.1 Общие положения
   7.2 Спецификация требований к элементам
   7.3 Правила использования
   7.4 Выбор
   7.5 Подтверждение обоснования применения
   7.6 Модификация для обоснования применения
   7.7 Модификация после обоснования применения
   7.8 Документация для обоснования применения
8 Проектирование и реализация HDL-программируемого устройства
   8.1 Общие положения
   8.2 Языки описания аппаратуры и сопутствующие инструменты
   8.3 Проектирование
   8.4 Реализация
   8.5 Инструменты системного уровня и автоматизированного кода
   8.6 Документация
   8.7 Экспертиза проекта и реализации
9 Верификация Н01-программируемого устройства
   9.1 Общие положения
   9.2 План верификации
   9.3 Верификация использования предварительно разработанных элементов
   9.4 Верификация проекта и реализации
   9.5 Испытательные стенды
   9.6 Тестовое покрытие
   9.7 Выполнение испытаний
   9.8 Статическая верификация
10 Аспекты системной интеграции HDL-программируемого устройства
   10.1 Общие положения
   10.2 Аспекты плана системной интеграции для HDL-программируемого устройства
   10.3 Специфические аспекты системной интеграции
   10.4 Верификация интегрированной системы
   10.5 Процедуры устранения отказа
   10.6 Аспекты отчета об испытании интегрированной системы с HDL-программируемым устройством
11 Аспекты валидации системы с HDL-программируемыми устройствами
   11.1 Общие положения
   11.2 Аспекты плана валидации системы с HDL-программируемыми устройствами
   11.3 Валидация системы
   11.4 Аспекты отчета о валидации системы с HDL-программируемыми устройствами
   11.5 Процедуры устранения отказа
12 Модификация
   12.1 Модификация требований, конструкции или реализации
   12.2 Модификация микроэлектронной технологии
13 Производство HDL-программируемого устройства
   13.1 Общие положения
   13.2 Производственные испытания
   13.3 Программирующие файлы и программирование
14 Аспекты монтажа, ввода в эксплуатацию и эксплуатации HDL-программируемого устройства .
15 Инструментальные программы для разработки HDL-программируемых устройств
   15.1 Общие положения
   15.2 Дополнительные требования к инструментам проектирования, реализации и моделирования
16 Сегментация или разделение конструкции
   16.1 Вводные сведения
   16.2 Вспомогательные функции или функции поддержки
17 Защита от отказа по общей причине в HDL-программируемом устройстве
   17.1 Вводные сведения
   17.2 Требования
Приложение А (справочное) Документация
Приложение В (справочное) Разработка HDL-программируемых устройств
Приложение ДА (справочное) Сведения о соответствии ссылочных международных стандартов национальным стандартам
Библиография
Разработан: НОЧУ НИШ
МЭК/ТК 45
Утверждён:08.06.2016 Федеральное агентство по техническому регулированию и метрологии (40-пнст)
Издан: Стандартинформ (2016 г. )
Нормативные ссылки:
ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016ПНСТ 118-2016